CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 状态机

搜索资源列表

  1. Verilog-statemachine

    0下载:
  2. 利用Verilog编程实现状态机的例子。很不错的。-use Verilog Programming state machine example. Very good.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:183105
    • 提供者:张厂
  1. statemachine11.2

    0下载:
  2. 推荐下载,verilog状态机实例.体现了流水线思想的应用 -recommend downloading Verilog state machine example. Pipeline reflects the thinking of the application
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1854
    • 提供者:陶玉辉
  1. fsm.rar

    1下载:
  2. 标准三段式状态机的写法 里面给出了一段式、二段式和三段式的状态机写法,便于对比,适合初学者 ,the standard format of Verilog FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2177
    • 提供者:cuiyundong
  1. horse_light4

    0下载:
  2. 六种花样的流水灯,从左至右,从右至左,中间向两边,两边向中间,跳格闪烁等。verilog语言编写; 并且扩展容易; 有两个状态机构成实现。quartus 9.0和7.1仿真通过。无错误,无警告。-Six kinds of patterns of flowing water lights, from left to right, from right to left, in the middle to both sides, both sides toward the middle, ju
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:70009
    • 提供者:tangjieling
  1. 10010

    0下载:
  2. Verilog状态机设计-10010序列检测器-Verilog state machine design-10010 Sequence Detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:823
    • 提供者:txj
  1. synopsis_FSM_coding

    0下载:
  2. synopsis的有限状态机编码方法的文档。 针对synopsis的综合环境,根据其综合工具的特点说明安全可靠、速度适合的FSM编码风格。 FSM coding style under synopsis. Used for verilog or vhdl designer. Good study data for ASIC newhand.-synopsis of the finite state machine coding documents. Synopsis for the in
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:120486
    • 提供者:road
  1. traffic

    0下载:
  2. verilog编写的一个交通灯程序,利用状态机实现。压缩包内有说明文档,源代码及时序截图-verilog prepared a program of traffic lights, the use of state machine to achieve. Compressed packet, there are documentation, source code and timing Screenshots
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:497556
    • 提供者:尹力超
  1. Verilog

    0下载:
  2. 交通灯状态机设计的完整Verilog代码-Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:3909
    • 提供者:kdong
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. state_mm

    0下载:
  2. 有限状态机源码,verilog语言编写。非常详细的示范了FSM状态机的编写。-Finite state machine source code, verilog language. A very detailed model of the FSM state machine preparation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:685
    • 提供者:王先生
  1. verilog

    0下载:
  2. 一个很好的关于verilog的PPT 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27825626
    • 提供者:lyy
  1. verilog

    0下载:
  2. 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27830787
    • 提供者:lyy
  1. state

    0下载:
  2. verilog语言编写的高效状态机设计,值得好好学习一下-verilog language efficient state machine design, it is well to study the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:676804
    • 提供者:malikun
  1. Verilog-uart

    0下载:
  2. Verilog状态机实现的串口串口收发模块 -Verilog state machine for uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1205330
    • 提供者:fu
  1. verilog

    0下载:
  2. verilog HDL 入门学习的源代码。 包括双向语法,计数器,状态机,锁存器,uart等-Introduction to learning verilog HDL source code. Including two-way grammar, counters, state machines, latches, uart, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3319
    • 提供者:鲁东
  1. Mealy_TrafficLight

    0下载:
  2. 基于FPGA交通控制器的Mealy状态机实现(Mealy state machine controller based on FPGA traffic)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:265216
    • 提供者:9901tzh
  1. class8_FSM

    0下载:
  2. 序列检测机(状态机实验),是Verilog状态机最基本的小实验,用于体会状态机的原理和作用(原作者:小梅哥)(Sequence detection machine is the most basic small experiment of Verilog state machine)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:241664
    • 提供者:wjm001
  1. 《数字逻辑基础与Verilog设计》

    2下载:
  2. 学习FPGA的入门书籍,主要内容包括:逻辑电路、组合逻辑、算术运算电路、存储元件、同步时序电路(有限状态机)、异步时序电路、测试等。《数字逻辑基础与Verilog设计》(原书第2版)内容全面,概念清楚,结合了逻辑设计最新技术的发展。(Learn the introductory books of FPGA. The main contents include logic circuit, combinational logic, arithmetic operation circuit, sto
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:52497408
    • 提供者:假假假
  1. Verilog数字系统设计教程(第二版) 夏宇闻

    0下载:
  2. 一本很好的Verilog语言学习工具书,详细介绍了Verilog语言,状态机等基础知识(A good tool book for Verilog language learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:7137280
    • 提供者:走吧_hit
  1. FSM状态机verilog代码

    1下载:
  2. 能实现状态转换、移位功能的状态机,使用verilog代码编写,能通过modelsim编程实现。
  3. 所属分类:VHDL编程

« 1 23 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com